極端紫外線リソグラフィ

提供: miniwiki
移動先:案内検索
ファイル:EUV photoelectrons and secondaries.jpeg
EUFリソグラフィにおける結像機構 上: EUV 多層膜と吸収体 (紫) が線を結像するためのマスクパターンを構成している。下: マスクパターンから投影されたEUV放射(赤)はレジスト(橙)と基板(茶色)で吸光され、光電子と二次電子(青)を生成する。これらの電子はレジスト中の化学反応の範囲を増加させる。本来ランダムである二次電子パターンは光学像の上に重ね焼きされる。望まれない二次電子照射は解像度の低下、顕著な線端粗さと線幅変化をもたらす。


極端紫外線リソグラフィ (Extreme ultraviolet lithography、略称:EUVリソグラフィ または EUVL) は、極端紫外線、波長13.5 nmにて露光する次世代リソグラフィ 技術である。


概要

極端紫外線は、近い将来2020年インテルグローバルファウンダリーそしてサムスンが 7 nmノード、TSMCが 5 nm、SMICが 14 nmノードのリソグラフィーに使用することを想定して、現在開発が進められている。

極端紫外線の露光装置を作っているASMLは、極端紫外線で 5 nmノードを製造するには、現在液浸20 nmノードに使われているよりも、高い開口率のレンズや、高度なマルチパターニングが必要になると推測している。

2000年代初頭から次世代リソグラフィの本命と目されていたものの、2017年4月現在、試験段階であり、未だに実用化されていない。

"深紫外線"という名称は過去にX線リソグラフィの補助金をアメリカの業界団体が連邦政府から得ていたため、深紫外線波長軟X線の一部であるが、新たに研究補助を申請する際に「X線」という名称が使えなかったので改めて「EUV」の名称で研究開発資金の援助を申請したので、呼称が「EUV」に変更されたとされる[1]

EUVL 光源

中性の原子や凝縮物質はEUV放射を発生することができない。物質がそれを放射するためにはイオン化をまず第一に起こさなければならない。EUV光は多価正イオンによって結合される電子でのみ発生することができる。例えば、+3の炭素イオン(3個の電子がすでに除かれている)から1つの電子を引き抜くには約65 eVを必要とする[2]。そのような電子は典型的な価電子より強固に拘束される。多価の正イオンが存在できるのは、高温高密度プラズマの中においてのみ可能であり、それ自身EUVを強く吸収する[3][4]。EUVリソグラフィ用のキセノンスズのプラズマ源は、放電生成かレーザー生成による。十分な処理能力を得るためには100 Wを超過する出力が必要である。最先端技術の193 nmのArFエキシマレーザーは200 W/cm2の強度を提供しているが[5]、EUVを生成するプラズマを生産するためのレーザーははるかに強力な、約1011W/cm2の強度である必要がある[6]。(レーザー出力が100 Wに達する)193 nmの光から[7] 、(10 kWを超過するレーザーまたは等価なエネルギー源の出力を必要とする)EUV光を発生させるために[8]、巨大なエネルギー負荷を課せられていることをこれは示している。EUV光源は20 kWのCO2レーザーによって駆動され[9]、それは電力変換効率は~ 10 %で[10]消費電力は~200 kWである。参考だが、すでに実用化されている100 WのArF液浸レーザー(UV光源)は~1 %の電力変換効率であり[11]、消費電力は~ 10 kWである。

開発中のプラズマベースのEUV光源の追加特性は、それらが部分的にさえコヒーレントでないということである[12]。これは現在の光リソグラフィーに使用されるKrFとArFのエキシマレーザーと異なっている。(多くの独立した波長のあらゆる方向に放射する)非コヒーレント光源を(波長の狭い帯域内の方向に制限のある範囲の中で放射する)部分的なコヒーレント光に変換するために、(望まない波長および方向に対する)フィルタをかけることが、電力損失(エネルギーロス)を一層低減させるために期待されている[13]

2008年現在では開発ツールは120 Wの光源で1時間当たりウェハー4枚の処理能力を持っている[14]。100ウェハー毎時の要求に対しては、それゆえ3 kWの光源が必要となるのだが、見通せる範囲では利用は出来ていない。しかしながら、EUVの光子計数は、フォトダイオードによって集められる1つの光子当たり生成された電子の数によって決定される。これは本質的に初期光電子のかなりばらつきのある二次電子放出比であるので、線量測定は大きいばらつきに左右されうる。実際、Gulliksonほかのデータ[15]は光電流感度の自然変動が~10 %であることを示している。より最近のシリコンフォトダイオードのデータは依然この評価と一致している[16]。EUV線量計の校正は重要な未解決の問題である[17]。2次電子のばらつきはアヴァランシェ・フォトダイオードのノイズでよく知られた根本原因である[18]

高度に相対論的な真空管の自由電子レーザーシンクロトロン放射源は、物質源よりもよい光源品質が得られるものの、高い強度を得るためには開発作業が必要となるだろう。いくつかの専用の工業用シンクロトロン光設備が建造され、それらの利用は半導体デバイスの製造も包括している。 自由電子レーザーは、単色でコヒーレントな、また広がり角の狭い光を提供する。双方ともまた、X線波長域へのシームレスな進展を与え、利用可能な波長の連続領域を提供する[19]

EUVL光学

EUVリソグラフィは今日利用されている深紫外線リソグラフィと比べ、著しく異なっている。EUVは波長13.5 nmという軟X線に近い領域のため、すべての物質において、EUVを吸収する。したがって、EUVリソグラフィは真空中で発生させる必要がある。すべての光学要素は、フォトマスクを含め、中間層干渉による光を反射するよう作用する、無欠陥のMo/Si多層膜を利用しなければならない。これらの鏡は入射光線の約30%を吸収する。この制約はマスクがない干渉リソグラフィシステム(Interference lithography)で回避することができる。しかし、後者のツールは周期的なパターンのみを製造するのに限定される。

現在まで構築された試作EUVLシステムは少なくとも2つの集光多層膜鏡、6つの投影多層膜鏡、また多層膜(マスク)で構成されている。光学系が利用可能なEUV光の96%を既に吸収するので、理想的なEUV光源は十分に明るい必要がある。EUV光源開発はレーザーまたは放電パルスによって生成されたプラズマに焦点が置かれている。集光鏡はプラズマに直接露出され、したがって高エネルギーのイオンから[20][21]、および他の残骸(デブリ)[22]からのダメージに脆弱である。EUVを発生する高エネルギープロセスに付随したこのダメージは、EUVリソグラフィ技術の実用的なEUV光源の実施成功を妨げている。

EUV露光装置のウエハー処理能力は、生産能力の指標の一つである。EUVが高真空を要求する技術であるとすれば、処理能力は(光源出力はさておき)ツールチャンバー出し入れするウエハーの移動によって、時間当たりの少ないウエハー数で制限される[23]

試作用EUV露光装置の別の特徴は、多層膜マスクへの(6度の角度の)斜入射照明である[24]。回折像中にもたらされる非対称性は、パターン忠実度を下げる陰影効果を引き起こす[25]

EUVの短い波長はレンズフレアも引き起こし、画質の完全性を損ない、線幅粗さを増大させることが知られている[26]

主要体積当たり(例えば20 nmの立方体)の加熱は、フォトレジストにおける高い吸収のため、DUV光子に比較して、EUVの光子あたりより高くなる。加えて、EUVリソグラフィは真空環境のため、液浸リソグラフィ水冷環境にくらべてより加熱される。

加熱は多層膜鏡を使用する場合に特に深刻な問題となる。なぜなら、EUVは表面から薄い距離で吸収されるので、加熱密度が高くなるためである。結果、高い加熱負荷で水冷方式が使用されると予想される。しかしながら、結果として生じる振動は微細加工における懸案事項である[27]

NISTラトガース大学による最近の研究で、光電子生成および二次電子収率に影響を及ぼすEUV鏡の共鳴構造によって、多層膜光学系の汚染に多大な影響を受けることが判明した[28]

EUVはすべての物質に大きく吸収されるので、リソグラフィ装置内部のEUV光学要素でさえ、主に明白なアブレーションとして現れる[29]。それらのアブレーションはEUVリソグラフィに特有の新たな懸念である。従来の光学リソグラフィシステムは主に透過部品を使用しており、電子ビーム露光装置は電子の経路に部品を置かない。しかし、これらの電子は最後には露光したサンプル基板にエネルギーを注入する。

EUVに特有のオーバーレイ問題

EUVが真空中で操作され、反射光学系を要求するので、ASMLに加えて、IMECによって最近研究された、EUVリソグラフィ装置には特別のオーバーレイの懸念がある[30]。従来の真空チャックの代わりに静電チャックが使用されなければならない。したがって、静電チャックにおけるウェハークランプ変動は適切に対処する必要がある。200 nmの窒化ケイ素(それは背面冷却[31]および放熱[32][33]を可能にするために後で除去する必要がある)の背面コーティングは、有益であると判明した。この追加手順(それは既にパターンの施されたデバイス層を最初に保護することが必要な)[34][35]の他に、ゾーン整列(標準部分的なものではなくウエハーを横断した線列マークをすべて使用した)もまた、一層の改良をもたらした。EUVが要求する真空環境は、多くを放熱しないウエハーを加熱することになる。牲の最初のウエハーはチャック温度を安定させるのに必要と判明した。さらに、露光加熱によるローカルのオーバーレイ修正は第二のウエハーが必要となる。したがって、1ロット当たりの余分なウエハーはEUVリソグラフィのオーバーレイ安定化に必要である。反射の使用はレチクルの平坦度およびレチクル固定にとって、ウエハー露光位置を非常に敏感にさせる。したがって、レチクル固定清浄度を維持することが必要である。

フォトレジストのEUV露光

EUV光子が吸収される場合、ちょうどX線または電子線が物体に吸収される場合に生じることのように、光電子(photoelectron)と二次電子(secondary electron)がイオン化によって生成される[36]。生成量は明確ではないが、平均で約4個の二次電子がEUV光子毎にで生成されると推測された[37]。これらの二次電子は、数から十数eVのエネルギーを持っており、目的の化学反応を始める前にフォトレジスト(下記参照)内部の十数ナノメーターを移動する。これは、ハロゲン化銀写真フィルム中の潜像形成のための光電子泳動に非常に似ている。このやや大きな距離の要因は、ポリマーに排除体積相互作用(excluded volume interaction)があるという事実である[38]。最近の実際のEUV印刷テスト[39]では、たとえ光学解像度およびフォトレジスト構成が制限因子でなかったとしても、30 nmの空間を解像出来なかったと判明した。

具体的に、より高い処理能力を得るため化学増幅を利用するフォトレジスト(化学増幅型レジスト)において:[40][41]

e- + 酸発生剤(acid generator) -> アニオン -> 解離アニオン生成

この反応は、「電子付着(electron attachment)」や「解離性電子付着(dissociative electron attachment)」としても知られ、その時点での捕捉が最も容易であるため、基本的に電子が停止するまで減速した後生じる可能性が最も高い。電子付着の断面積は高エネルギーの電子エネルギーに反比例するが、0のエネルギーにおいて最大の制限値に接近する[42]。他方では、最低のエネルギー(数 eVかそこら、あるいは解離性付着が支配的な領域)の平均自由行程が、10 nmをはるかに上回ことは既に知られており[43][44]、それゆえこのスケールにおいて分解能が確実に達成される能力を制限している。 さらに、20 eV未満のエネルギーを持った電子は、レジストからの水素とフッ素の陰イオンの脱着が可能であり[45]、それはEUV光学系への潜在的な損害につながる[46]

EUVフォトレジストイメージはピッチとおよそ等しい厚さのレジストを必要とすることがよくある[47]。これはEUV吸収によりレジストの底のほうまでに少ない光しか届かなくなることによるだけでなく、二次電子からの前方散乱にもよる(低エネルギー電子線リソグラフィに似る)。反対に、薄いレジストは下層のフィルムへの損傷をもたらす入射光の多くの部分を伝達するが、にもかかわらず同じレベルの吸収を満たすためにより多くの照射を必要とする。

光子の吸収深度は電子の脱出深さを超過するので、電子の放出は結局遅くなり、それらのもつエネルギーは最終的に熱として消散される。

1 mJ/cm2のEUV照射は10.9 μC/cm2当量の光電子線量を生じる。 現状の実証では10 mJ/cm2の照射を越える。109 μC/cm2の光電子線量当量である。

より小さな形状を作るためにより高線量および(または)レジストの薄膜化を採用することは、単にフォトレジストの底面層の照射増加をもたらすのみである。これは、実質的にイメージコントラストを低下せしめる光電子と二次電子の別の重要な供給源が加えられる。さらに、下層への電離放射線障害の可能性を増加させる。

分解能を不鮮明にする二次電子および光電子の範囲は、線量、表面汚染、温度などのような要因に依存する。

EUVL 欠陥

EUVLは液浸リソグラフィが直面しているものと類似した特有の欠陥問題に直面している。液浸特有の欠陥は水とフォトレジスト間との最適化されていない接触によるが、EUVに関係のある欠陥は本質的にEUV放射のイオン化エネルギーに起因する。第一の問題は正帯電である。EUV放射によってレジストの表面から解放された、光電子の放出[48]のためである。これは静電放電、あるいは、上でも言及した装置損害と同様に粒子汚染ももたらしうる。第二の問題は、周囲の、あるいはアウトガスによる炭化水素によるレジスト上の汚染物堆積である。それはEUVまたは電子で引き起こされる反応に起因する[49]。第3の問題は、EUV放射またはEUVで生成された電子によって解離された酸素[50]、アルゴン、または他の雰囲気ガスによる腐食である。リソグラフィチャンバー内の雰囲気ガスはガスパージや汚染削減のために使用される。これらのガスはEUV放射によりイオン化され、露出表面の近傍にプラズマ発生をもたらし、多層光学素子の障害や試料への不用意な暴露に至る[51]

当然ながらマスク欠陥もまたEUVLの欠陥の既知な原因である。極端紫外線マスクにおける欠陥の低減は、現在EUVリソグラフィの商業化のために取り組まれる、最も重大な案件のうちの1つである[52]。欠陥中核(すなわち空孔か粒子)は、基板上で、多層膜堆積物中に、あるいは多層膜積層の上に生じる場合がある。最終欠陥の印刷適性は、既定の位置で光の相変化および振幅変化に依存するだろう。正味の相変化および/または振幅変化は、中核欠陥の固有の効果と、欠陥の間の多層膜スタックの成長への影響をもたらす。埋没欠陥は特に油断がならず、10 nmの欠陥ですら危険であると考えられる[53]。検知されない3 nmのマスク基板平坦度変化によって引き起こされた位相シフトは、印刷可能な欠陥を生むのに十分である。この背景にある原理は、平面からの1/4波長の偏差が、反射の後に1/2波長光路長差を生じるというものである。平面から反射される光は、1/4波長の偏差した光とで180度位相がずれる[54]平面からの1nmの偏差さえ、画像強度の実質的減少(~20 %)に結びつくであろうことが示された[55]。実際、100 nmの半値全幅をもつ原子スケール(0.3 - 0.5 nm)の高さの欠陥は、10 %のCDインパクトを示すことにより依然印刷可能になりえる[56]レンズのように、実質的に位相シフトを生じるどんな欠陥も、欠陥領域の外側へ光を散乱させる。散乱された光の量は計算可能である。更に、平坦からのその偏差が表面に関して84度の発生率のターゲット角からの偏差により、3度を超過すれば、位相欠陥の端はさらに反射率を10 %以上縮小するだろう。くわえて、位相欠陥の端部は、もしその逸脱が平面度から3度を超過する場合、表面に対して84度の目標入射角からの偏差のため、反射率を10 %以上低下させることになる。欠陥高さが浅くても、端部は依然として積層する多層膜を変形させ、多層膜が傾斜する伸長領域を生じる。変形が急峻であるほど、欠陥端部の伸長領域は狭くなり、反射率への損失が大きくなる。

想定外の解像度限界

現在のリソグラフィ光波長と比較して、EUVが波長を著しく縮小するのなら、それが著しくよりよい解決になると期待するだろう。しかし、解像度は結局、像の記録媒質(つまりフォトレジスト)中の相互作用量によって決定づけられる。上に言及されたように、EUVによって放出された低エネルギー電子はオリジナルのEUVイメージを不鮮明にするかもしれない。さらに、とりわけ1,500平方ナノメーター未満の特定エリアにおいては、統計的な効果がある。

レジストポリマー凝集体

ポリマーのようなレジストは80 nmのサイズで凝集することがよく知られている[57]。高解像度レジストのHSQ(水素シルセスキオキサン)ですら15 - 20 nmにしか凝集体サイズを縮小しない[58]。凝集体サイズより大きいラインの粗さは、凝集体サイズによって緩やかに影響される一方、凝集体サイズが線幅が明らかに下回ることは深刻な影響を受ける。

ショット雑音

5 mJ/cm2の線量感度は、およそ数千程度のEUV光子だけがそのような小さな領域で蓄積することを示唆している。光子のランダムな到着時による自然なポアソン分布で、約40nm未満の形状にとって露出プロセスを基本的に制御しがたくする、少なくとも数パーセント3シグマの期待された自然な線量変化がある。線量を増加させることはショット雑音を縮小するが、一方でさらにフレア線量を増加させ、より多くの自由電子を生成するだろう。自由電子は減速し停止するまで拡散するだろう。自由電子密度が初期光子密度より低いので、ショット雑音はEUV線量を単に考慮することから想定されるよりも常に実質的に大きい。

2008年にインテル[59]10億の30 nm接点を印刷するために、±16 %の線量エラー(@10 mJ/cm2)がEUVショット雑音から期待されると推定した。厳しい集計では、変動は±20 %まで増加する。この問題は22 nmパターン統合に影響を与えるだろう。1nmのピクセル内を検討した場合、ショット雑音が一層明白になり(>100% on 10 nm scale @10 mJ/cm2)、EUVリソグラフィにおける線端粗さ(line edge roughness:LER)の由来問題がより明確になる。

電荷捕捉のための2次元絶縁を伴う浮遊ゲートフラッシュメモリパターン同様に、DRAMと論理マイクロプロセッサ(11nmノードでの複合パターンカットを含む)[60]でしばしばみられる2次元パターンは、線種形状よりショット雑音の影響をより受けやすい。それは、2次元パターン(理想的な長方形)が、あるしきい線量の近辺で露光した限定領域における光子の数として定義されているからである。

形状の直径 (nm) 100万形状にわたる5%線量誤差を避けるための最小線量 (mJ/cm2) ターゲット線量 (mJ/cm2) ターゲット線量の処理能力 (300 mm WPH)
40 12 5 -
28 24 10 6-60
20 47 15 50-125
14 96 20 125
10 187 20 165

5 %線量誤差は~ 1 nmのCDエラーに帰着すると判明した[61]。100万接点の母集団における5 %線量誤差を避けるための最小線量はすべての世代で2倍となっているが、産業における目標線量は追いついていない。少なくとも最小線量を達成するために、処理能力は同じ比率で低減されるだろう。1ppmの母集団は平均線量から5の標準偏差である。 参考に、Nvidiaは2011年に次のように報告した[62]。 ビア欠陥レベルは10億分の1である必要があり、その結果上記の最小線量はより厳密になる必要があるだろう。 参照: SPIE Proc. 8326-96, 8683-36, 8679-50 (2013)

部分的なコヒーレント光源は、数百から数千の点のそれぞれ独立した光子源の集合としてしばしば表現される[63][64][65][66]。更に、一方の光源による異なる入射角に対しての多層膜反射率の非対称な変化は、他方によるものより実質的に明るい [67]。10光子/nm2の線量における100万個の光子は(例えば100光源 x 10000光子/点)、100,000 nm2の領域(~ 300 nm x 300 nm)をカバーし、はるかに理論分解能を超過する。

ショット雑音は、前にも述べたEUV光源出力の問題に強い影響がある。10 mJ/cm2では、中間焦点における出力は180 Wでなければならないが、現状高負荷サイクルにおいてそれはおよそ20 Wである[68]。しかし、有意なショット雑音は最小線量が20 nm形状サイズで少なくとも47 mJ/cm2(例えば20nmを20nmの半ピッチ線で切る)10 nm形状サイズでは187 mJ/cm2(例えば10 nm接点を14 nmの半ピッチ線で切る)でなければならず、それゆえEUV光源出力はかつてないほど達成困難になりつつある目標であることを示している[69]。さらに、もし線量が少なくとも3倍増加するならば、レジストポリマーの架橋結合はより重大になる[70][71][72]。後述するように、高い吸光度のため、加熱することはより深刻である。化学増幅型レジストにとって、酸発生分解(acid generator decomposition)のため線量露光が強いと線端粗さが増大する[73]。ショット雑音は、ネガ型の金属酸化膜レジストをもつ[74]、コンタクトホール・パターンに使用される明視野露光をいくらか緩和するかもしれない[75]。フレアは線量が高い明視野露光においてより深刻な影響(像のコントラストを失わせる)を持っている[76] 。HSQレジストの軟X線露光は、100 mJ/cm2レンジにおける線量増加のため露光限界を越える増加反応に関係した50 - 70 nmの線幅増大を示している[77]

ショット雑音問題はEUVで使用された、20 nm以下のマスク上に描画された形状に適用できる[78]。(ウェーハ上に20nmをプリントするため)マスク上の80 nmコンタクトホールパターンに使用される12 uC/cm2の吸光線量では、そのようなコンタクトホールが10億を越える線量レベルにおいては、必然的に10 %のショット雑音に遭遇する。

EUVの空間像計測システム(Aerial Image Metrology System:AIMS)メーカーであるカール・ツァイスは、18 nmピクセルあたり15,000光子(68 mJ/cm2に相当する)が十分なCD忠実度のために必要であると近年結論を出している[79]

近接効果 (二次電子)

PMMAのような絶縁材料においては、低エネルギー電子がはるか遠くへ移動しうる(数ナノメートルでありうる)ことが認識されてきている。例えば、10nm未満の厚さのSiO2において、ごくわずかな電子散乱が予想されている[80]。これは、イオン化ポテンシャル以下に、唯一のエネルギー損メカニズムが主としてフォノンポーラロンによってあるという事実による[81]。ポーラロン効果が高分子と共有結合物質よりもイオン結晶の中においてより強く現れることに注意しなければならない[82] 実際のところ、 ポーラロン跳躍は20nm以上離れて拡大する[83]

~20nmのワーストケースの拡散[84]を~10nmの期待されるEUVツールの解像度に加えれば、現実的に有効な解像度がよくても~30 nmであることが予想され、それは現行のダブルパターニングリソグラフィを使用した最先端の液浸リソグラフィに匹敵する。20nmの電子範囲がばらつきに応じた10%のみの限界寸法であることを可能にすることは、そのまま200nmを下回るリソグラフィへの挑戦となる。

物質 10 eV 電子の非弾性平均自由行程*[85][86]
10  nm
DNA 5  nm
PMMA 5  nm
SiO2 7  nm

(*) 平均では,10eVのエネルギーを持つ電子はエネルギーを失う前に物質内のこの距離を移動する。

物質 <3 eV 電子の減衰長[87]
ペンタセン 7.5 ± 1.0  nm
ペリレン 80 ± 8.0  nm

IBMのFederほかによる古典的な実験[88]において、PMMAレジスト上のエルビウム層はX線に晒される。エルビウム層はX線を強く吸光し、低エネルギーの二次電子を生成する。吸光されないX線はPMMAを貫通し続け、そこでごく軽く吸光される。溶剤中のエルビウム層および後のPMMA現像の除去に際して、レジスト除去速度は、膜の残りの部分では一層緩やかである一方で、PMMA膜の表面から40nmにおいて促進されることが判明した。促進率は二次電子の照射によるものであり、一方で抑制率はX線の吸光によるものである。この事例においては40nmの最大二次電子照射範囲であるとわかった。

村田はまた、X線照射の間Si基板からPMMAの層に放出された92eVのオージェ電子の影響を計算した。PMMAの照射範囲は50nmであった[89]

MITウィスコンシン大学のCarterらがより最近行った実験[90]においては、電子を発生するX線吸収体はPMMAレジストの表面でなくむしろ直下であった。この場合、PMMAの分解促進は基板上からおよそ50nmで開始される。

この二次電子範囲の意味は50nmオーダー以下の距離における「近接効果」の出現である[91][92]。形状がこの範囲以下に減少するとともに、これは照射許容値を劇的に縮小する。たとえ形状が今までどおりこの範囲以下に印刷することができても、解像度はエネルギー分布の不確定性によって影響を受ける。上記の実験的に決定された範囲の差(40nm対50nm)は、この根本的なばらつきの表れである。二次電子照射を不鮮明効果と見なすことができる。不鮮明は一般的に、光学的のみのイメージ・シミュレーションに含まれていない。

近接効果はまた、レジストの上面から去り、およそ数十ナノメーター離れた距離に戻る光電子と二次電子によって明らかである[93]。これは(真空中で)水平方向には拡散しているが垂直方向において正に帯電した表面に引きつけられる、表面上の空間電荷雲を形成する放射電子の観点から理解される

二次電子近接効果は、40-60eVのエネルギー範囲にある放射電子を持つ走査プローブ端を使うことで、スタンフォード大学によって最近実証された[94]。線量感度は照射中心から25nmより遠いところで実証された。50nm範囲の照射幅以下では、低エネルギーの(EUVにより生じた)電子分布は線幅分布に影響することが示されている。これは従来の光学リソグラフィには見られない新しい効果である。

減衰長が1.18nmの平均の長さであるにもかかわらず、~1.35eVまでの低エネルギー電子がSio2内部で~15nmまで移動することを示すため、光電子放出顕微鏡(Photoelectron emission microscopy:PEEM)のデータが用いられた[95]

光電子軌道

ファイル:EUV photoelectron energy deposition distribution.PNG
EUV光電子からの放射状エネルギー供給。 r=0で入射する光子は、放射範囲が30nmにも及ぶが、最も高いエネルギーは数nmの距離に付与される。 目標範囲内での異なった場所から入射する多くの光子からの放射状エネルギー供給を積算することで、エネルギー付与の累積した量、 目標領域からより離れた距離での効果が現れるようになる。

小寺ほかはEUV光電子軌道シミュレーション行い、それらの範囲が30nmになることを示した[96][97]。これらの電子によるエネルギー付与の広がりは観測される線端粗さから説明できる。表面から放射された電子が戻ることはないので、最上層の照射は事実上少ない。

効率的なフォトレジスト加熱

Ritucciほかは、DUV波長に比べてEUV波長にとって効率的な改良した熱剥離を報告している[98]。EUVはすべての物質のバンドギャップを超過するので長い波長のものよりもより吸収され易く、そして同じ線量の入射エネルギーでは結果的により加熱される。~100mJ/cm2でさえ剥離をもたらすのに足りるほど熱いであろう。光増幅フォトレジストの解像度は熱で駆動される酸拡散で決定される。たとえ剥離線量が100 mJ/cm2であっても、1nm画素にわたるショット雑音が依然重大であり(3σ/avg = 36%)、画素が少なくとも5%すなわち20nm以下であるような限界寸法(critical dimension:CD)に深刻な効果となりうる。

レジストのポイントスプレッド関数

古澤ほかは基本的な酸発生予測とシミュレーション適合を用いEUV化学増幅型レジストの点拡がり関数(point spread function)を求めた。吸収点から~20nm広がった酸発生の範囲は~40nmの解像限界を伴っている[99]

フォトレジストが容易に酸分子を拡散するならば、EUVや他の電離放射線によって生成した小さく軽い電子が速やかに広く拡散し、期待された光学解像度を無効にする驚くべきことではない。

2008年末の印刷結果に基づいたレジストの不鮮明さは10-16nmの範囲である[100]。ハーフピッチの解像度は依然30nm以下で苦労しており、先端粗さは依然大きな問題となっている。

22nmと24nmのハーフピッチに焦点を当てた2011年の研究は照射後加熱工程での温度非依存不鮮明が80度において~5nmから110度において~10nmまでの範囲であることを示唆した。二次電子不鮮明はこの範囲では観測されなかったと報告された[101]。空間像は推定フレア(すべての長距離二次電子不鮮明を含む)を補正された。

不確定性原理

二次電子生成が運動量移動で非弾性散乱を伴うとともに、関連する位置不確実性がある。低エネルギー電子がより少ない運動量移動をするのに応じて、二次電子発生プロセスの非局在化はより高い傾向にあり[102]、LERにはより直接的な影響を及ぼす。

EUVL実証

ファイル:Extreme ultraviolet lithography tool.jpg
ローレンスリバモア国立研究所の EUVL 用マスクブランク成膜装置

ポール・シェーラー研究所の干渉リソグラフィ[103]は10nm以下の線間形状を実証するために使用された[104][105]。この光源で試験されたレジスト性能は、投影装置のコントラスト制限のためEUV投影装置用に期待された性能を反映しなかった。

1996年、サンディア国立研究所、カリフォルニア大学バークレー校およびルーセント・テクノロジーの共同作業において、75nmから180nmまでのゲート長のnMOSトランジスターを生産した。ゲート長はEUVリソグラフィによって決定された[106]。130nmゲート長におけるデバイスの飽和電流は~0.2 mA/uであった。100nmのゲートデバイスは、90mV/decadeの閾値下の振れ(subthreshold swing)と、250 mS/mmの飽和トランスコンダクタンスを示した。当時の最先端DUVリソグラフィでパターニングされた同じデザインルールでの商用のNMOS[107]は、0.94mA/umの飽和電流と860mS/mmの飽和トランスコンダクタンスを示した。この事例での閾値下の振れは90mV/decade以下であった。

2008年2月に、ニューヨーク州立大学オールバニ校のCollege of Nanoscale Science and Engineering (CNSE)を拠点とした、IBMAMDを含む共同作業では、45nmのノード・テスト・チップの最初の金属層中に90nmの溝をパターニングするためにEUVリソグラフィを使用した[108]。デバイス性能についての個別の詳細は提示されなかった[109]。しかしながら、リソグラフィの性能詳細はそれでもなお要望されるものの多くを示した[110]

  • CD uniformity: 6.6%
  • Overlay: 17.9 nm x, 15.6 nm y, possibly correctable to 6.7 nm x, 5.9 nm y
  • Power: 1 W at wafer (>200 W required for high volume), with a dose of 3.75 mJ/cm2
  • Defects: 1/sq. cm.

同じEUV露光は理論的に100nmより大きいマスク欠陥由来のプリント欠陥が30nm以下となることをもたらす一方で、AMDの45nmノードmetal-1デザインルールは90nmであったように、高い欠陥レベルは予想外ではないかもしれない。その自然解像限界を凌駕する光リソグラフィーはこの点に関して重要な利点を持つ。

おそらく、CNSEのEUV装置は既知の16%フレア問題を被った[111]。フレア効果は上で述べられた二次電子効果から切り離すのは困難かもしれない。

2008年7月に、IMECは導入したEUVツールを使用して~60nmコンタクトをプリントした[112] 12~18mJ/cm2の線量が使用された。

2009年4月に、IMECは、コンタクトとmetal-1レイヤー(~45 nmデザイン・ルール)がEUVリソグラフィでプリントされた、22nmのSRAMセルを作り上げた[113]。しかしながら、企業が22nmを使用開始する時点で、EUVが準備できないであろうことが認知された。さらに、形状端輪郭がEUV照明に特有の非対称性に関係した傾斜の非対称を示していると評価された。この実証は限定された数の~45 nm形状のみに着目されたが、上述でのインテルの100万の~30 nm形状にわたるショット雑音計算は製造に先立つ難問を示している。このデモンストレーションは単に限られた数の~45 nm特徴に注目しましたが、インテルの散弾雑音計算、の上に、何十億もの特徴については、~30 nmは、製造に先立つ難問を示している。

2009年末、ローレンス・バークレー国立研究所を加えたKLAテンコールとグローバルファウンドリーズは論文[114]を公表し、EUVレジストにおけるEUV生成二次電子の確率的挙動を提示した。特に、32nmのハーフピッチトレンチは顕著な線端粗さ、線幅粗さ(line width roughness:LWR)および限界寸法(critical dimension:CD)変動を示した[115]。それはまた~15nmレジスト不鮮明が初期の研究で観察されたことを説明している[116]

Timing impact

2003年の初め、EUVLがすぐに商業化されるという楽観論が依然存在した。次に示した言葉がそれを示している:「あらゆる技術の最前面でよい進展が得られてきた。2009年での商業化は、すべてのEUVコミュニティーにおいて依然主要な目標である」[117] しかしながら2016年時点でEUVLはいまだ商用化されていない。

EUVの難しさは、主にEUV光を発生させる難しさと、表面汚染と粗さに対するEUV光の敏感さである。マルチパターニングの台頭は、それが本来波長非依存の技術であるが、その導入をさらに危険にさらしている。インテルのJustin Rattnerは、必要な(より長波長の)マスクの生成は高性能計算の最も重要な用途であったと言及した[118]

2009

2009年7月に、ASMLホールディングおよびサイマー社は、最初の完全統合型のレーザー励起プラズマ(LPP)極端紫外線(EUV)リソグラフィ光源をサイマーからASMLに出荷したことを発表した。それは次世代EUVリソグラフィスキャナの統合および試験をサポートするものである[119][120]

2009年7月に、EUV基盤の論評は、著しいギャップが、特にマスク欠陥検査に残っていることを示した[121]。このギャップは2013年まで、あるいはその後も埋められないかもしれず、それは2015年までに製造する高容量の用のEUVの普及に影響を及ぼすだろう。

ごく最近では[122]~100 nmハーフピッチの(マスク自体上に)性能を備えたEUVマスクは現時点ではEUVマスク生成の解像限界にあると示された。こうした性能は到来する300mm装置のために4倍縮小されるため、 マスク自身は~25nmハーフピッチ以下でよいイメージを従来通りプリントすることができない。同じ研究では、解像度は光学系ではなく、(マスク解像度を強化しても20nm以下のハーフピッチを妨げる)レジスト応答で制限されていると繰り返し述べられている。このマスク自身上の解像限界は上で指摘したマスク製造に関わるショット雑音問題に関係している。

2010

2010年6月に、TSMCは、研究開発目的のために、2011年にEUVシステムを受領すると発表した[123]。伝えられるところによれば、装置の質量は、200万ドルを超える特殊な固定具を購入するほどある[124]

2010年7月に、Semicon West 2010において、ASMLはEUVLによって達成した解像度を更新し、2010年では24nmの状況であるが、1.0を下回る大幅に等級が下がった規格化イメージログスロープ(normalized image log-slope:NILS)であり[125] 、 粗末なリソグラフィ品質を表している[126][127]。事実上、より鮮明な解像度が投影されるに従い、NILSは0に至ると期待され、EUVL使用の不可能性を示している。

2010年には、コストを釣り上げる、上で言及したショット雑音に起因する線端粗さの改善に取り組むための各種追加工程を開発する必要が依然あるとサムスンが言及した[128]。くわえて、 DUV波長に含まれる帯域外の波長がフレア効果を招くように述べている[129][130]これは他のものでも観測されている。

2010年のSPIEにおいて、ローレンス・バークレー国立研究所とカリフォルニア大学バークレー校のX線光学センターからの研究者が提示した結果では、基板から多層膜までの複製表面粗さ(replicated surface roughness:RSR)が50pm程度に低いことは、焦点ボケを伴う斑点のような像となる位相誤差を引き起こし、それは22nmと16nmノードを許容できない線端粗さ(LER)を招く[131]。このスペックは現状の能力よりも小さい。参考に、ボーア半径は53pmであり、シリコンウェーハの実効表面粗さは100pmのオーダーである[132]

ASMLの運用中若しくは開発中のEUV 装置

発売年 EUV 機種 解像度 目標処理能力l 照射エネルギー, 光源
2006 ADT 32 nm 4 WPH 5 mJ/cm2, ~8W
2010 NXE:3100 27 nm 60 WPH 10 mJ/cm2, >100W
2012 NXE:3300B 22 nm 125 WPH 15 mJ/cm2, >250W
2013 NXE:3300C 16 nm if <7 nm resist diffusion length 150 WPH 15 mJ/cm2, >350W

出典: ASML, International Workshop on EUVL, Maui 2010

十数nmとその先の時期

ハイニックスは、ダブルパターニングを使用した193nmの液浸リソグラフィが~20nm以下に解像でき、その一方でよりコストを意識したEUVアプローチが、28nmを下回る程度にしかならず、193nmの液浸リソグラフィシングルパターニングの38nmの解像限界を越える世代の改善に満たないと見出した[133]

その現在の解像限界と準備不足のため、EUVLは近年、現在の20nmクラスNANDフラッシュ技術世代を選択するリソグラフィのような、既存の液浸リソグラフィツールを使用したマルチパターニングにとって変えられている[134]サムスン[135]とIM Flash Technologies[136]は3x nmと2x nmのNANDフラッシュのためにスペーサダブルパターニングを既に使用し始めた。東芝とサンディスクは19nmNANDフラッシュを2011年に既に開始している[137]。現状、EUVシングル露光解像度は依然22nmを越えており[138]、EUVまたはArF波長を使用するにかかわらずマルチパターニングは~10-14nmにおいては必要である[139]。IEDM 2011では、ハイニックスは四重のスペーサパターニングを使用した十数nm NANDテクノロジーを発表すると期待されている[140]

2009年の9月には、インテルは、15nmのプロセスについては、EUVが時宜を得た方法で準備ができているように見えないことを明らかにした[141]。従ってインテルは、15nmまで倍、および恐らく3倍のパターニングを備えた193nmの液浸リソグラフィを拡張する準備をしている[142]。現在では、11nmの論理ノードに対するEUVの実現可能性を追求している[143]が、2次元コンタクトパターニングは、改善した線幅粗さのための特別な洗浄処理が非常にピッチに依存しているようである一方で、依然~26nmハーフピッチにおいてのみ良好であるようである。インテルは、EUVを使用せずとも、それが11nmのノードで今までどおり193nmの液浸リソグラフィを使用できると明言した[144]

2010時点で、所要のEUV検査装置の開発が可能になるため、2015年までEUVが遅れるであろうと見られていた[145]。他方では、メーカーの工程表はEUVを使用することを妨げ、2012-2013が1x nmに必要な時期であると示している[146]。EUVLの長期的な推進の検討は今10nm以下のデザインルールを検討する必要があるだろう。しかしながら、現時点では、より大きな電場ベクトル角度により、分極効果は重要になる[147]。分極効果により10nmのハーフピッチ・ライン・スペース用の有効なフレア[148]は11%である。EUVの難しさは偏光制御(レーザー源が無くプラズマのみ)の不足である。

ASMLは、16nm以下[149]の性能は現状の6度より大きい照射軸が必要とされると指摘し[150]、それゆえ現在のEUVの多層膜光学基盤に対する根本的変化が必要とされる。とりわけ、アポディゼーション(軽度の入射瞳を越えた強度の不均一性)は、異なる角度での異なる反射率により、より高い開口数(NA)ではより深刻となる[151]

キヤノンは第1回国際EUVLシンポジウムにおいて、高いNAをもつEUVシステムは 大角度範囲にアクセスされるため、2つの異なる偏光間でシステム透過率の大きい相違を表すだろうと示した[152]

実際、8波長以下のEUVマスクピッチにおいては、大角度での多層膜への回折は、徹底的な計算評価を要求する深刻なイメージ劣化の別の原因である[153]。大角度では、多層膜反射率は著しく減少する[154]

別の問題は、10nm以下での利用においては、既に処理能力問題に悩まされている、EUVマスクパターニングの電子線リソグラフィは解像度要求を適合させる実用的なレジストの困難性を持っていることである[155]実際のところ、20nm以下では、現在の電子線マスク描画装置はマスク上に80nmサイズを繰り返し供給することができない[156]

近年のEUVゾーンプレートリソグラフィ評価はサブ20nmハーフピッチのものであり、次世代リソグラフィがダブルパターニングを必要としても実際のところ、ダブルパターニングはサブ12nmハーフピッチでさえ満足しないだろう[157][158]。結果として、オーバーレイと処理能力の必要条件は、以前より少なくとも2倍厳格な必要があるだろう[159]

2011年のLithoVisionコンファレンスにおいて、インテルは、EUV技術がインテルの10nmのデザイン・ルール計画に既に遅れることを示した[160]

ASMLは、10nmイメージングに至るまで13.5nmのEUV波長が使用されるはずであり、さらにより高い解像度において6.6~6.8nmの新しいEUV波長が使用されると提言した[161]

2015に渡って現在計画された装置は、15nmの解像度に達すると予定されていない。。

サイマーは自社の20W光源の出荷を2012年の第一四半期から第二四半期まで延期した[162]

サムスンもまた、自社のEUVを2013年の後に延期した[163]

同様に、GlobalFoundriesとTSMCは20nmを越えるEUVの使用を延期した[164]

2012年の始めの時点で、EUVは、光源出力、欠陥、オーバーレイ、レジストおよびマスクの領域で残る重要な問題を持っている[165]

2012年7月時点で、6式のNXE:3100(現在製造中止)[166]および11式のNXE:3300がプロセス開発用に発注され、4式のNXE:3300が生産を目的としている[167]。後者の発注はサムスンとSKHynixとの間で分けられた[168]

EUV と ArF 液浸ダブルパターニングのリソース要件

Utility 200 W output EUV 90 W output ArF immersion double patterning
Electrical power (kW) 532 49
Cooling water flow (L/min) 1600 75
Gas lines 6 3

出典: ギガフォトン, SEMATECHシンポジウムジャパン, September 15, 2010

ダブルパターニングさえも使用した193nm液浸と比較して、EUVには必要な利用資源は著しくより大きい。ハイニックスは報告した2009年のEUVシンポジウムで電力変換効率(wall plug efficiency)はEUVで~0.02%であり、すなわち、処理能力100WPH(wafer per hour)を求め中間焦点で200Wを得るために、投入する電力は1MW必要であり、それに対してArF液浸スキャナーの場合165kWである。 また同じ処理能力においてさえ、EUVスキャナーの設置面積はArF液浸スキャナーの3xに達し、生産性の損失をもたらす[169]。さらに、イオンデブリを封じ込めるために、超伝導電磁石が必要となるかもしれない[170]

参照

  1. SEMICON West 2016」、半導体露光技術の進化を振り返る(完結編その2), http://eetimes.jp/ee/articles/1608/30/news022.html 
  2. webelements.com
  3. Tao, Y.; et al. (2005). “Characterization of density profile of laser-produced Sn plasma for 13.5 nm extreme ultraviolet source”. Appl. Phys. Lett. 86 (20): 201501. doi:10.1063/1.1931825. 
  4. Coons, R. W.; et al. (2010). “Comparison of EUV spectral and ion emission features from laser-produced Sn and Li plasmas”. Proc. SPIE 7636: 763636. doi:10.1117/12.848318. 
  5. Paetzel, R.; et al. (2003). “Excimer lasers for superhigh NA 193-nm lithography”. Proc. SPIE 5040: 1665. doi:10.1117/12.485344. 
  6. Harilal, S. S.; et al. (2006). “Spectral control of emissions from tin doped targets for extreme ultraviolet lithography”. J. Phys. D 39 (3): 484. doi:10.1088/0022-3727/39/3/010. 
  7. Trintchouk, F.; et al. (2006). “XLA-300: the fourth-generation ArF MOPA light source for immersion lithography”. Proc. SPIE 6154: 615423. doi:10.1117/12.658723. 
  8. V. Bakshi, 2009 EUVL Workshop Summary, Sheraton Waikiki, Hawaii, July 13--17, 2009.
  9. Cymer EUV light source
  10. Cymer presentation at 2007 EUV Source Workshop
  11. Saleh, B. E. A.; Teich, M. C. (1991). Fundamentals of Photonics. New York: John Wiley & Sons. pp. 521. ISBN 0471839655. 
  12. IEEE Spectrum: A New Light Source
  13. Chen, F. T. (2003). “Asymmetry and thickness effects in reflective EUV masks”. Proc. SPIE 5037: 347. doi:10.1117/12.483602. 
  14. ASML update on ADT
  15. Gullikson, E. M.; et al. (1996). “Stable silicon photodiodes for absolute intensity measurements in the VUV and soft X-ray regions”. J. Electron Spec. and Rel. Phenom. 80: 313--316. doi:10.1016/0368-2048(96)02983-0. http://www.ird-inc.com/Publications/3%20Stable%20Silicon%20photodiodes.pdf. 
  16. Keister, J. W. (2007). “Silicon Photodiodes for Absolute Soft X-ray Radiometry”. Proc. SPIE 6689: 26. doi:10.1117/12.741601. http://www.bnl.gov/u3cx8a/Keister_SPIE07_6689-26_paper.pdf. 
  17. Berger, K. W.; Campiotti, R. H. (2000). “Absolute dosimetry for extreme-ultraviolet lithography”. Proc. SPIE 3998: 838. doi:10.1117/12.386448. 
  18. Donati, S. (2000). Photodetectors: Devices, Circuits and Applications. Upper Saddle River, NJ: Prentice-Hall PTR. ISBN 0130203378. 
  19. Robert W. Hamm and Marianne E. Hamm, "The Beam Business: Accelerators in Industry", Physics Today, June 2011, pp. 49-50
  20. H. Komori et al., Proc. SPIE 5374, pp. 839--846 (2004).
  21. B. A. M. Hansson et al., Proc. SPIE 4688, pp. 102--109 (2002).
  22. S. N. Srivastava et al., J. Appl. Phys.' 102, 023301 (2007).
  23. A. Brunton et al., Proc. SPIE 5448, pp. 681-692 (2004).
  24. L. Peters, "Double Patterning Leads Race for 32 nm", Semiconductor International, October 18, 2007.
  25. M. Sugawara et al., J. Vac. Sci. Tech. B 21, 2701 (2003).
  26. M. Chandhok et al., J. Vac. Sci. Tech B 22, 2966 (2004).
  27. S. Jeong et al., Proc. SPIE 3997, 431 (2000).
  28. N. S. Faradzhev et al., Bull. of the Russ. Acad. of Sci., Physics, vol. 74, pp. 28--32 (2010).
  29. F. Barkusky et al., Optics Express 18, 4346 (2010).
  30. J. V. Hermans et al., Proc. SPIE 7969, 79691M (2011).
  31. D. Tretheway and E. S. Aydil, J. Electrochem. Soc., vol. 143, 3674 (1996).
  32. M. S. Bakir et al., CICC 2007, 421 (2007).
  33. J. A. van der Pol et al., Microelectronics Rel., 39, 863 (1999).
  34. J. Mathuni et al., Wafer Backside Paper
  35. Brewer Science LED Brochure featuring Substrate Protection
  36. B. L. Henke et al., J. Appl. Phys. 48, pp. 1852--1866 (1977).
  37. SPIE EUV08 paper by T. Kozawa and S. Tagawa
  38. N. Shimizu and H. Sato, 1996 IEEE Annual Report - Conference on Electrical Insulation and Dielectric Phenomena, pp. 787--790 (1996)
  39. Y. Ekinci et al., Microelectronic Engineering, vol. 84, pp. 700--704 (2007). Conference draft.
  40. T. Kozawa et al., J. Vac. Sci. Tech. B 15, pp. 2582--2586 (1997).
  41. T. Kozawa et al., J. Vac. Sci. Tech. B 22, pp. 3489-3492 (2004).
  42. E. Stoffels et al., Plasma Sources Sci. & Tech. 10, 311-317 (2001).
  43. M. P. Seah and W. A. Dench, Surf. Interf. Anal. 1, 2-11 (1979).
  44. S. Tanuma et al., Surf. Interf. Anal. 21, 165--176 (1993).
  45. B. Yakshinskiy et al., Intl. Symp. on EUVL 2009
  46. C. Song et al., Chem. Mater. 20, 3473--3479 (2008).
  47. H. H. Solak et al., Microel. Eng. 67--68, pp. 56--62 (2003).
  48. N. Koch et al., Thin Solid Films 391, pp. 81--87 (2001).
  49. J. Hollenshead and L. Klebanoff, J. Vac. Sci. & Tech. B 24, pp. 118--130 (2006).
  50. J. Hollenshead and L. Klebanoff, J. Vac. Sci. & Tech. B 24, pp. 64--82 (2006).
  51. M. H. L. van der Velden et al., J. Appl. Phys. 100, 073303 (2006).
  52. http://spie.org/x48080.xml?pf=true&ArticleID=x48080
  53. M. Lam, Ph.D. dissertation, U. of California, Berkeley, sec. 7.3 (2005).
  54. P. P. Naulleau et al., Optics Communications 200, pp. 27--34 (2001).
  55. I.-Y. Kang et al., Jap. J. Appl. Phys. vol. 44, pp. 5724--5726 (2005).
  56. S. Huh et al., Proc. SPIE 7271 (2009).
  57. C. A. Cutler et al., Proc. SPIE vol. 5037, 406 (2003).
  58. D. Lauvernier et al., Microelectonic Eng. 75, 177--182 (2004).
  59. EUV resist TWG 2008
  60. Intel extending ArF lithography to 11 nm node
  61. T. Wallow et al., Proc. SPIE vol. 8322, 83221J (2012).
  62. J. Chen, 2011 IMEC Technology Forum
  63. L. Szu-Kai and C. C. P. Chen, Proc. SPIE vol. 7274, 727436 (2009).
  64. H. Kirchauer PhD Thesis (1998).
  65. K. Tian et al., Proc. SPIE vol. 7274, 72740C (2009).
  66. M. Bass (ed.), Handbook of Optics, 2.20, McGraw-Hill, 2010.
  67. V. Domnenko et al., Proc. SPIE 7271, 727141 (2009).
  68. D. C. Brandt et al., Proc. SPIE vol. 7271, 727103 (2009).
  69. F. T. Chen et al., Proc. SPIE 8326, 8326L (2012).
  70. S. M. Tamboli et al., Ind. J. Chem. Tech., vol. 11, 853 (2004).
  71. J. N. Helbert et al., Macromolecules, vol. 11, 1104 (1978).
  72. J. P. Cain et al., Proc. SPIE 5751, 301 (2005).
  73. T. Kozawa, Jap. J. Appl. Phys. 51, 06FC01 (2012).
  74. J. K. Stowers et al., Proc. SPIE 7969, 796915 (2011).
  75. R. Gronheid et al., Proc. SPIE 8322, 83220M (2012).
  76. Y. Tanaka et al., Proc. SPIE 6921, 69211D (2008).
  77. A. G. Caster et al., J. Vac. Sci. Tech. B 28, 1304 (2010).
  78. GlobalFoundries plans EUV by 2015
  79. H. Feldmann et al., Proc. SPIE 7636, 76361C (2010).
  80. S. Lombardo et al., J. Appl. Phys., 84, 472 (1998).
  81. M. Dapor et al., J. Micro/Nanolith. MEMS MOEMS 9, 023001 (2010).
  82. C. Kittel, Introduction to Solid State Physics, 6th ed. (John Wiley & Sons, 1986), pp. 281-3.
  83. P. T. Henderson et al., Proc. Natl. Acad. Sci. USA 96, 8353-8358 (1999).
  84. K. W. Lee et al., J. Kor. Phys. Soc. 55, 1720 (2009).
  85. D. Emfietzoglou et al., Nucl. Instr. & Meth. in Phys. Res. B 267, 45--52 (2009).
  86. H.-J. Fitting et al., J. Elec. Spec. & Rel. Phenom. 119, 35--47 (2001).
  87. S. Hino, N. Sato, H. Inokuchi, Chem. Phys. Lett. vol. 37, 494 (1976).
  88. R. Feder et al., J. Vac. Sci. Tech. 12, 1332 (1975).
  89. K. Murata, J. Appl. Phys. 57, 575 (1985).
  90. D. J. D. Carter et al., J. Vac. Sci. & Tech. B 15, pp. 2509--2513 (1997).
  91. K. Yamazaki et al., Jap. J. Appl. Phys. 36, 7552-7556 (1997).
  92. V. V. Ivin et al., Micr. Eng. 61-62, 343-349 (2002).
  93. R. Renoud et al., J. Phys. Cond. Matt. 10, 5821-5832 (1998).
  94. K. Wilder et al., J. Vac. Sci. Tech. B 16, 3864 (1998).
  95. V. W. Ballarotto et al., JVST B 20, 2514-2518 (2002).
  96. M. Kotera et al., Microprocesses and Nanotechnology, 2007 Digest of Papers, pp. 94--95 (2007).
  97. M. Kotera et al., Jap. J. Appl. Phys. vol. 47, pp. 4944--4949 (2008).
  98. A. Ritucci et al., "Damage and ablation of large band gap dielectrics induced by a 46.9 nm laser beam," March 9, 2006 report UCRL-JRNL-219656 (Lawrence Livermore National Laboratory).
  99. T. Kozawa et al., Appl. Phys. Exp. 1, 027001 (2008).
  100. T. Watanabe and H. Kinoshita, J. Photopolymer Sci. and Tech., vol. 21, 777-784 (2008).
  101. R. Gronheid et al., J. Micro/Nanolith. MEMS MOEMS 10, 033004 (2011).
  102. J. Drucker and M. R. Scheinfein, Phys. Rev. B vol. 47, 15973-15975 (1993).
  103. EUV-IL at PSI
  104. XIL beamline at PSI
  105. V. Auzelyte et al., J. Micro/Nanolith. MEMS MOEMS 8, 021204 (2009).
  106. K.B.Nguyen et al., J. Vac. Sci. Tech. B 14, 4188 (1996).
  107. S. Yang et al., IEDM '98 Technical Digest, pp. 197-200 (1998).
  108. B. La Fontaine et al., Proc. SPIE 6921, 69210P (2008).
  109. AMD uses EUV to pattern metal layer in 45 nm test chip
  110. B. Haavind and J. Montgomery, "SPIE: AMD, IBM tip first "full-field" EUV chip," Solid State Technology, Feb. 27, 2008
  111. O. R. Wood II et al., Proc. SPIE 6517, 65170U (2007).
  112. IMEC report on EUV printing of contacts
  113. IMEC Makes 22 nm SRAM Cells With EUV Lithography
  114. J. J. Biafore et al., SPIE Lithography Asia 2009, Proc. SPIE 7520, 75201P (2009).
  115. KLA-Tencor brings stochastic modeling to EUV
  116. T. I. Wallow et al., Proc. SPIE 7273, 72733T (2009).
  117. EUVA: 2nd EUVL Symposium at Antwerp, Belgium (2003)
  118. "Intel's extreme ultraviolet dream still somewhere over the rainbow."
  119. High-Power EUV lithography lightsources come of age,http://www.electroiq.com/index/display/semiconductors-article-display/368295/articles/solid-state-technology/volume-52/issue-9/features/cover-article/high-power-euv-lithography-lightsources-come-of-age.html
  120. Taking Semiconductor Manufacturing to the extreme, http://www.photonics.com/Article.aspx?AID=40103
  121. SEMICON West - Lithography Challenges and Solutions
  122. P.Naulleau et al., Pushing EUV lithography development beyond 22-nm half pitch, LBNL Paper LBNL-2288E (2010).
  123. TSMC to take delivery of EUV lithography system in 2011
  124. TSMC facing EUV, Wafer Cost Challenges.
  125. H. Meiling, "EUVL - getting ready for volume introduction," Semicon West, July 14, 2010.
  126. O. Wood and B. LaFontaine, Source Power Requirement for HVM.
  127. U. S. Patent 6977715.
  128. H-W Kim et al., Proc. SPIE vol. 7636, 76360Q (2010).
  129. S. A. George et al., Proc. SPIE vol. 7636, 763626 (2010).
  130. H. Mizuno et al., Proc. SPIE vol. 7271, 72710U (2009).
  131. P. P. Naulleau et al., Proc. SPIE 7636, 76362H (2010).
  132. L. W. Shive and B. L. Gilmore, ECS Trans. vol. 16, 401-405 (2008).
  133. T-S. Eom et al., Proc. SPIE 7271, 727115 (2009).
  134. EETimes article on NAND Flash scaling 3/22/2010.
  135. C. Taylor, "Samsung intros 64-Gbit MLC NAND chip," Electronic News, October 23, 2007.
  136. M. LaPedus, Intel, Micron roll 34-nm NAND device, EETimes, 5/29/2008.
  137. Sandisk-Toshiba reclaim NAND process lead with 19 nm
  138. E. S. Putna et al., Proc. SPIE vol. 7969, 79692K (2011).
  139. e.g., US Patent Application 20090153826
  140. IEDM 2011 Press Tip Sheet
  141. Semiconductor International 9/14/2009 Intel Ramping 32 nm Manufacturing in Oregon
  142. EETimes 9/22/2009 Otellini: Intel to ship more SOCs than PC CPUs -- someday
  143. 22 nm HP Integrated Patterning Improvements for EUVL
  144. Intel Confirms Production of 22nm Processors for late 2011
  145. Sematech launches EUV metrology consortium
  146. SanDisk, 2/26/2010 Investor Day.
  147. T. Matsuyama et al., 2006 IEEE/SEMI Advanced Semiconductor Manufacturing Conference, 50-56 (2006).
  148. J. Cobb et al., "Flare compensation in EUV Lithography," 2003 EUV Symposium, Antwerp.
  149. ASML, 2009 Lithography Workshop.
  150. ASML, Mask TWG, EUVL Symposium, 2008.
  151. K. Murakami et al., Proc. SPIE 8322, 832215 (2012).
  152. Y. Sekine et al., 1st International EUVL Symposium, 2002.
  153. M. A. Golub and A. A. Friesem, J. Opt. Soc. Am. A, 24, 687 (2007).
  154. Louis, E.; et al. (2011). “Nanometer interface and materials control for multilayer EUV-optical applications”. Prog. Surf. Sci. 86 (11--12): 255--294. doi:10.1016/j.progsurf.2011.08.001. 
  155. H. Yang et al., Proceedings of the 1st IEEE Intl. Conf. on Nano/Micro Engineered and Molecular Systems, pp. 391--394 (2006).
  156. D2S announces litho tradeoffs at 20 nm and below
  157. W. Chao et al., JVST B 27, 2606-2611 (2009).
  158. W. Chao et al., Proc. SPIE vol. 6883, 688309 (2008).
  159. 2009 Sokudo Lithography Breakfast Forum
  160. EUV late for 10 nm
  161. ASML's EUV Roadmap Points to New Wavelength
  162. Cymer EUV roadmap slips
  163. Samsung resets EUV roadmap for memory scaling
  164. Foundry rivals say EUV not ready for prime time
  165. EUV misses 14 nm node
  166. R. Peeters and S. Young, ASML Images 2012 Issue 1, p. 4.
  167. ASML EUV tool update
  168. Samsung and SKHynix EUV order
  169. H. S. Kim, Future of Memory Devices and EUV Lithography, 2009 EUV Symposium
  170. H. Mizoguchi, "Laser Produced Plasma EUV Light Source Gigaphoton Update," EUVL Source Workshop, May 12, 2008.

参考文献

Related links